Novastar MRV432 mandray karatra miaraka amin'ny seranan-tsambo HUB320 ho an'ny efijery LED tsara

Famaritana fohy:

Ny MRV432 dia karatra fandraisana ankapobeny novolavolain'ny NovaStar.Ny MRV432 tokana dia mitondra hatramin'ny 512 × 512 teboka.Manohana ny fiasa isan-karazany toy ny famirapiratan'ny pixel sy ny calibration chroma, ny fanitsiana haingana ny tsipika maizina na mamirapiratra, 3D, ny fanitsiana Gamma tsirairay ho an'ny RGB, ary ny fihodinan'ny sary amin'ny fitomboana 90 °, ny MRV432 dia afaka manatsara ny fiantraikan'ny fampisehoana sy ny traikefan'ny mpampiasa.


Product Detail

Tags vokatra

Sava lalana

Ny MRV432 dia karatra fandraisana ankapobeny novolavolain'ny NovaStar.Ny MRV432 tokana dia mitondra hatramin'ny 512 × 512 teboka.Manohana ny fiasa isan-karazany toy ny famirapiratan'ny pixel sy ny calibration chroma, ny fanitsiana haingana ny tsipika maizina na mamirapiratra, 3D, ny fanitsiana Gamma tsirairay ho an'ny RGB, ary ny fihodinan'ny sary amin'ny fitomboana 90 °, ny MRV432 dia afaka manatsara ny fiantraikan'ny fampisehoana sy ny traikefan'ny mpampiasa.

Ireo singa mifandraika amin'ny MRV432HUB320Izy io dia manohana hatramin'ny vondrona 32 amin'ny angona RGB parallèle na vondrona 64 amin'ny angon-drakitra serial.Noho ny famolavolana fitaovana mifanaraka amin'ny EMC, ny MRV432 dia nanatsara ny fifanarahana elektromagnetika ary mety amin'ny fanamboarana isan-karazany eny an-toerana.

Certifications

RoHS, EMC Class A

Toetoetra

Fanatsarana ny vokatry ny fampisehoana

⬤Ny famirapiratan'ny pixel sy ny calibration chroma Miara-miasa amin'ny rafitra calibration avo lenta mba hanatanterahana ny famirapiratana sy ny calibration chroma amin'ny LED tsirairay mba hanala amin'ny fomba mahomby ny fahasamihafan'ny famirapiratana sy ny fahasamihafan'ny chroma, mamela ny tsy fitoviana amin'ny famirapiratana avo sy ny tsy fitoviana chroma.

⬤Fanitsiana haingana ny tsipika maizina na mazava

Ny tsipika maizimaizina na mamiratra vokatry ny fampifandraisana ny modules na cabinets dia azo amboarina mba hanatsarana ny traikefa hita maso.Mora atao ny fanitsiana ary mihatra avy hatrany.

⬤Fasa 3D

Miara-miasa amin'ny karatra fandefasana izay manohana ny fiasa 3D, ny karatra fandraisana dia manohana ny famoahana 3D.

⬤Fanitsiana Gamma isam-batan'olona ho an'ny RGB miasa amin'ny NovaLCT (V5.2.0 na aoriana) sy ny karatra fandefasana izay manohana an'io asa io, ny karatra fandraisana dia manohana ny fanitsiana manokana ny Gamma mena, ny Gamma maitso ary ny Gamma manga, izay afaka mifehy tsara ny tsy fitoviana eo ambanin'ny sary. grayscale ambany sy fotsyfifandanjana offset, mamela ny sary tena misy.

⬤Fihodinana sary amin'ny fitomboana 90°

Ny sary aseho dia azo apetraka hihodinkodina amin'ny 90° (0°/90°/180°/270°).

Fanatsarana ny fikojakojana

⬤Fasa fanaovana sarintany

Ny kabinetra dia afaka mampiseho ny laharan'ny karatra fandraisana sy ny fampahalalana momba ny seranan-tsambo Ethernet, ahafahan'ny mpampiasa mahazo mora foana ny toerana sy ny topologie fifandraisana amin'ny karatra fandraisana.

⬤Fametrahana sary voatahiry mialoha ao amin'ny karatra fandraisana Ny sary aseho eo amin'ny efijery mandritra ny fanombohana, na aseho rehefa tapaka ny tariby Ethernet na tsy misy famantarana video azo namboarina.

⬤ Fanaraha-maso ny maripana sy ny voltase

Ny mari-pana sy ny voltase amin'ny karatra fandraisana dia azo jerena raha tsy mampiasa periferika.

⬤Kabine LCD

Ny maody LCD an'ny kabinetra dia afaka mampiseho ny mari-pana, ny voltase, ny fotoana fandehanana tokana ary ny fotoana rehetra amin'ny karatra fandraisana.

⬤Fahitana fahadisoana kaikitry

Ny kalitaon'ny fifandraisana amin'ny seranan-tsambo Ethernet an'ny karatra fandraisana dia azo araha-maso ary azo raketina ny isan'ny fonosana diso mba hanampy amin'ny famahana olana amin'ny serasera.

NovaLCT V5.2.0 na aoriana dia ilaina.

⬤Famerenana programa firmware

Ny programa fikirakirana karatra fandraisana dia azo vakiana ary tehirizina ao amin'ny solosaina eo an-toerana.

NovaLCT V5.2.0 na aoriana dia ilaina.

⬤Famerenan'ny paramètre Configuration

Azo vakiana sy tehirizina ao amin'ny solosaina eo an-toerana ny masontsivana fanamafisana ny karatra fandraisana.

Fanatsarana ny fahatokisana

⬤Loop backup

Bika Aman 'endrika

Ny karatra fandraisana sy ny karatra fandefasana dia mamorona tadivavarana amin'ny alàlan'ny fifandraisana tsipika lehibe sy backup.Raha misy lesoka mitranga amin'ny toerana misy ny tsipika, dia mbola afaka mampiseho ny sary ara-dalàna ny efijery.

⬤Fanorenana indroa ny masontsivana fanamafisana

Ny mari-pamantarana fanamafisana ny karatra fandraisana dia voatahiry ao amin'ny faritry ny fampiharana sy ny faritry ny orinasa amin'ny karatra fandraisana amin'ny fotoana iray ihany.Matetika ny mpampiasa dia mampiasa ny mari-pamantarana fanamafisana ao amin'ny faritra fampiharana.Raha ilaina, ny mpampiasa dia afaka mamerina ny masontsivana fanamafisana ao amin'ny faritry ny orinasa mankany amin'ny faritra fampiharana.

⬤Programa backup roa

Ny dika roa amin'ny programa firmware dia voatahiry ao amin'ny faritra fampiharana amin'ny karatra fandraisana ao amin'ny orinasa mba hisorohana ny olana izay mety hiraikitra tsy ara-dalàna ny karatra fandraisana mandritra ny fanavaozana ny programa.

erw37

Ny sary vokatra rehetra aseho ato amin'ity antontan-taratasy ity dia natao fanoharana fotsiny.Ny vokatra tena izy dia mety miovaova.

tondro

Famantarana loko sata Description
famantarana mihazakazaka Maitso Mitselatra indray mandeha isaky ny 1s Ny karatra fandraisana dia miasa ara-dalàna.Ny fifandraisana tariby Ethernet dia ara-dalàna, ary misy ny fampidirana loharanon-tsary.
    Mitselatra indray mandeha isaky ny 3s Ny fifandraisana tariby Ethernet dia tsy mety.
    Mitselatra in-3 isaky ny 0.5s Ara-dalàna ny fifandraisan'ny tariby Ethernet, saingy tsy misy fidirana loharanon-tsary azo.
    Mitselatra indray mandeha isaky ny 0.2s Ny karatra fandraisana dia tsy nahavita nampiditra ny programa tao amin'ny faritra fampiharana ary mampiasa ny programa backup izao.
    Mitselatra in-8 isaky ny 0.5s Nisy fifandimbiasam-pandrefesana nitranga teo amin'ny seranan-tsambo Ethernet ary nihatra ny backup backup.
Tondro hery MENA Mitohy hatrany Normal ny fampidirana herinaratra.

tondro

Anarana loko sata Description
PWR MENA

Mijanona eo

Miasa tsara ny famatsiana herinaratra.
SY Maitso

Mitselatra indray mandeha isaky ny 2s

Ny TB60 dia miasa ara-dalàna.

Mitselatra indray mandeha isan-tsegondra

Ny TB60 dia mametraka ny fonosana fanavaozana.

Mitselatra indray mandeha isaky ny 0.5s

Ny TB60 dia misintona angona avy amin'ny Internet na mandika ny fonosana fanavaozana.
Mijanona eo / miala Ny TB60 dia tsy mety.
RAHOTRA Maitso Mijanona eo Ny TB60 dia mifandray amin'ny Internet sy nymisy fifandraisana.
Mitselatra indray mandeha isaky ny 2s Ny TB60 dia mifandray amin'ny VNNOX ary misy ny fifandraisana.
Hihazakazaka Maitso Mitselatra indray mandeha isan-tsegondra Tsy misy signal video
Mitselatra indray mandeha isaky ny 0.5s Ny TB60 dia miasa ara-dalàna.
Mijanona eo / miala Ny fametahana FPGA dia tsy mety.

lafiny

Ny hatevin'ny solaitrabe dia tsy mihoatra ny 2,0 mm, ary ny hatevin'ny totalin'ny (haavony + hatevin'ny singa eo amin'ny sisiny ambony sy ambany) dia tsy mihoatra ny 19,0 mm.Ny fifandraisana amin'ny tany (GND) dia alefa amin'ny fametrahana lavaka.

dfs38

Fandeferana: ± 0.3 Unit: mm

Pin

32 Vondrona misy angona RGB mifanitsy

df39
JH1–JH8
/ R 1 2 G /
/ B 3 4 GND tany
/ R 5 6 G /
/ B 7 8 GND tany
/ R 9 10 G /
/ B 11 12 GND tany
/ R 13 14 G /
/ B 15 16 GND tany
Famantarana decoding andalana HA 17 18 HB Famantarana decoding andalana
Famantarana decoding andalana HC 19 20 HD Famantarana decoding andalana
Famantarana decoding andalana HE 21 22 GND tany

 

64 Grou

wewq40
JH1–JH5
/ NY FANAZAVANA 1 2 NY FANAZAVANA /
/ NY FANAZAVANA 3 4 GND tany
/ NY FANAZAVANA 5 6 NY FANAZAVANA /
/ NY FANAZAVANA 7 8 GND tany
/ NY FANAZAVANA 9 10 NY FANAZAVANA /
/ NY FANAZAVANA 11 12 GND tany
/ NY FANAZAVANA 13 14 NY FANAZAVANA /
/ NY FANAZAVANA 15 16 GND tany
Famantarana decoding andalana HA 17 18 HB Famantarana decoding andalana
Famantarana decoding andalana HC 19 20 HD Famantarana decoding andalana
Famantarana decoding andalana HE 21 22 GND tany
Afindrao ny famantaranandro HDCLK 23 24 HLAT Latch famantarana
Asehoy ny signal enable angady 25 26 GND tany
JH6
/ NY FANAZAVANA 1 2 NY FANAZAVANA /
/ NY FANAZAVANA 3 4 GND tany
/ NY FANAZAVANA 5 6 NC /
/ NC 7 8 GND tany
/ NC 9 10 NC /
/ NC 11 12 GND tany
/ NC 13 14 NC /
/ NC 15 16 GND tany
Famantarana decoding andalana HA 17 18 HB Famantarana decoding andalana
Famantarana decoding andalana HC 19 20 HD Famantarana decoding andalana
Famantarana decoding andalana HE 21 22 GND tany
Afindrao ny famantaranandro HDCLK 23 24 HLAT Latch famantarana
Asehoy ny signal enable angady 25 26 GND tany

fepetra arahana

Fanapahan-kevitra ambony indrindra 512×512@60Hz
Famaritana elektrika Volavola miditra DC 3.8V hatramin'ny 5.5V
Naoty amin'izao fotoana izao 0.5 A
Fanjifana herinaratra naoty 2.5 W
Tontolo iainana miasa hafanana –20°C hatramin’ny +70°C
Hamandoana 10% RH hatramin'ny 90% RH, tsy misy condensing
Tontolo iainana fitahirizana hafanana -25°C hatramin’ny +125°C
Hamandoana 0% RH hatramin'ny 95% RH, tsy misy condensing
Famaritana ara-batana lafiny 145,7 mm × 91,5 mm × 18,4 mm
Lanja afa-karatsaka 93,1 g

Fanamarihana: Ny lanjan'ny karatra fandraisana tokana ihany.

Fonosana Information Famaritana fonosana Ny karatra fandraisana tsirairay dia fonosina anaty fonosana blister.Ny boaty famonosana tsirairay dia misy karatra fandraisana 100.
Ny refin'ny boaty famenoana 625,0 mm × 180,0 mm × 470,0 mm

Ny habetsahan'ny fanjifana ankehitriny sy ny herin'aratra dia mety hiova arakaraka ny anton-javatra isan-karazany toy ny firafitry ny vokatra, ny fampiasana ary ny tontolo iainana.

Manana fetra MOQ ve ianao amin'ny baiko fampirantiana?

A: Tsy misy MOQ, misy 1pc ho an'ny fanamarinana santionany.

Ahoana ny amin'ny fotoana hitarika?

A: Sample mila 15 andro, ny famokarana faobe ny fotoana mila 3-5 herinandro dia miankina amin'ny be.

Inona ny serivisy aorian'ny varotra anao?

A: Afaka manome antoka 100% ho an'ny vokatray izahay.Raha manana fanontaniana ianao dia hahazo valiny ao anatin'ny 24 ora.

Ahoana ny amin'ny fe-potoana fiantohana anao?

A: Aza manahy, manana ekipa matihanina aorian'ny varotra izahay hamaha ny fanontanianao rehefa avy nametraka baiko.Ary ny injeniera momba ny varotra manokana dia hanampy anao hamaha ny olana rehetra.

Ahoana no hahatonga ny raharaham-barotray maharitra sy tsara fifandraisana?

A: 1. Mitazona kalitao tsara sy vidiny mifaninana izahay mba hahazoana antoka fa hahazo tombony ny mpanjifa;

2. Manaja ny mpanjifa tsirairay ho namanay izahay ary manao raharaham-barotra amin-kitsimpo sy minamana aminy, na avy aiza na avy aiza.


  • teo aloha:
  • Manaraka: