Novastar H2 H5 H9 H15 Video Splicing Processor Ho an'ny Fine Pitch LED Display

Famaritana fohy:

Ny H2 no vaovaon'ny NovaStar amin'ny vidéo wall splicer, manasongadina ny kalitaon'ny sary ary natao indrindra ho an'ny efijery LED tsara indrindra.Ny H2 dia afaka miasa toy ny splicing processeur izay mampiditra ny fanodinana horonan-tsary sy ny fahaiza-manara-maso ny horonan-tsary, na miasa ho toy ny processeur splicing madio.Ny singa iray manontolo dia manangana endrika modular sy plug-in, ary mamela ny fikirakirana malefaka sy ny fifandimbiasana mafana ny karatra fampidirana sy famoahana.Noho ny endri-javatra tena tsara sy ny fampisehoana maharitra, ny H2 dia azo ampiasaina betsaka amin'ny fampiharana isan-karazany, toy ny angovo sy ny fahefana, ny sampan-draharahan'ny fitsarana sy ny fonja, ny baiko miaramila, ny fiarovana ny rano sy ny hydrology, ny faminaniana momba ny horohoron-tany meteorolojika, ny fitantanana orinasa, ny metallurgy vy, banky sy fitantanam-bola, fiarovam-pirenena, fitantanana ny fifamoivoizana fiarovam-bahoaka, fampirantiana sy famelabelarana, fandaharam-potoana famokarana, radio sy fahitalavitra, fikarohana ara-panabeazana sy siantifika, ary koa ny fangatahana fanofana sehatra.


Product Detail

Tags vokatra

Sava lalana

Ny H2 no vaovaon'ny NovaStar amin'ny vidéo wall splicer, manasongadina ny kalitaon'ny sary ary natao indrindra ho an'ny efijery LED tsara indrindra.Ny H2 dia afaka miasa toy ny splicing processeur izay mampiditra ny fanodinana horonan-tsary sy ny fahaiza-manara-maso ny horonan-tsary, na miasa ho toy ny processeur splicing madio.Ny singa iray manontolo dia manangana endrika modular sy plug-in, ary mamela ny fikirakirana malefaka sy ny fifandimbiasana mafana ny karatra fampidirana sy famoahana.Noho ny endri-javatra tena tsara sy ny fampisehoana maharitra, ny H2 dia azo ampiasaina betsaka amin'ny fampiharana isan-karazany, toy ny angovo sy ny fahefana, ny sampan-draharahan'ny fitsarana sy ny fonja, ny baiko miaramila, ny fiarovana ny rano sy ny hydrology, ny faminaniana momba ny horohoron-tany meteorolojika, ny fitantanana orinasa, ny metallurgy vy, banky sy fitantanam-bola, fiarovam-pirenena, fitantanana ny fifamoivoizana fiarovam-bahoaka, fampirantiana sy famelabelarana, fandaharam-potoana famokarana, radio sy fahitalavitra, fikarohana ara-panabeazana sy siantifika, ary koa ny fangatahana fanofana sehatra.

Mifototra amin'ny rafitra rafitra FPGA hardware mahery, miaraka amin'ny endrika modular sy plug-in, ny H2 dia manasongadina ny maritrano fitaovana madio madio sy azo antoka ary tena mahomby, ary manome modely mpampitohy isan-karazany ho an'ny fikirakirana malefaka sy manokana, mamela ny fikojakojana mora sy ny tsy fahombiazana ambany. taha.Ny H2 dia manome ny connecteur input standard amin'ny indostria, anisan'izany ny HDMI, DVI, DP, VGA, CVBS, SDI ary IP, ary manohana ny fampidirana sy ny fanodinana loharanon-dahatsary 10-bit, ary koa ny fampidirana sy famoahana avo lenta 4K.Ny H2 koa dia manome karazana karatra fandefasana LED 4K roa, mamela ny backup eo anelanelan'ny seranan-tsambo OPT sy ny seranana Ethernet ary koa ny fandefasana lavitra lavitra.Ankoatr'izay, ny H2 dia manohana ny fitantanana multi-screen sy multi-layer, ny fitantanana sy ny fanaraha-maso EDID sy ny famoahana, ny fanovana ny anaran'ny loharano fidirana, ny BKG sy ny OSD ary ny maro hafa, mitondra anao traikefa fananganana sary manankarena.

Ankoatr'izay, ny H2 dia mampiasa ny maritrano B / S ary manohana ny sehatra cross-platform, ny fidirana amin'ny rafitra sy ny fanaraha-maso tsy mila mametraka programa fampiharana.Amin'ny sehatra Windows, Mac, iOS, Android na Linux, ny fiaraha-miasa an-tserasera ataon'ny mpampiasa maro dia tohanana ary ny hafainganan'ny famaliana ny pejin-tranonkala dia tena haingana, izay manatsara ny fahombiazan'ny fametrahana eo an-toerana.Ankoatra izany, ny H2 dia manohana ny fanavaozana firmware an-tserasera, mamela ny fanavaozana fitaovana mora amin'ny PC.

Certifications

CE, UKCA, FCC, IC, CB, NOM, RCM, KC, CMIM

Raha toa ka tsy manana ny mari-pankasitrahana mifandraika amin'izany takian'ny firenena na faritra hivarotana azy ny vokatra dia mifandraisa amin'ny NovaStar hanamarina na hamahana ny olana.Raha tsy izany, ny mpanjifa no tompon'andraikitra amin'ny loza ateraky ny lalàna na ny NovaStar dia manana zo hitaky onitra.

Toetoetra

Modular sy plug-in famolavolana, mitambatra maimaim-poana amin'ny sitraponao

⬤Karatra fandefasana LED 4K roa karazana

− H_20xRJ45 mandefa karatra mitondra entana hatramin'ny 13.000.000 piksel.

− H_16xRJ45+2xfiber mandefa karatra dia mitondra entana hatramin'ny 10.400.000 piksel ary manome seranana OPT roa izay mandika ny vokatra amin'ny seranana Ethernet.

⬤Fanofahan'ny fahafaha-manao maro amin'ny slot karatra tokana

− 4x 2K×1K@60Hz

− 2x 4K×1K@60Hz

− 1x 4K×2K@60Hz

⬤Configuration écran tsotra mampiasa karatra tokana sy mpampitohy

⬤ Fanaraha-maso ny sata an-tserasera ny karatra fidirana sy famoahana rehetra

⬤Karatra fampidirana sy fivoahana mafana

⬤H_2xRJ45 IP karatra mahazaka hatramin'ny 100 IP fakan-tsary fampidirana sy fampidirana mosaika.

⬤Fanokanana automatique ny loharano misy encryption HDCP

⬤Tohanana ny tahan'ny sary decimal

⬤HDR10 sy HLG fanodinana

Fitantanana maro-efijery ho an'ny fanaraha-maso afovoany

⬤Ny efijery tsirairay dia afaka manana ny famahana azy manokana.

⬤Mozaika mivoaka

Mampiasa ny teknolojia synchronization frame, izay miantoka ny Output connectors rehetra output synchronous ny sary synchronous, ary ny sary dia feno sy nilalao soa aman-tsara, tsy misy raikitra, frame very, rovitra na piecing.

⬤Fikirakirana efijery tsy ara-dalàna

Manohana mosaika mahitsizoro tsy ara-dalàna tsy misy fetra.

⬤Fitantana ny vondrona loharanon-kevitra

⬤Mode mitsitsy maso

Asehoy amin'ny fomba mafana kokoa nefa tsy dia mamiratra kokoa ilay sary mba hanamaivanana ny fihenjanan'ny maso.

⬤LCD bezel fanonerana

Fampisehoana isan-karazany ho an'ny fanitsiana moramora

⬤Fampisehoana maro sosona

Ny karatra tokana dia manohana sosona 16x 2K, sosona 8x DL na sosona 4x 4K.

Ny sosona rehetra dia manohana ny famoahana cross-connector ary tsy mihena ny habetsaky ny sosona ho an'ny famoahana cross-connector.

⬤Lasan-tsoratra horonan-taratasy misy famaritana avo

Amboary ny votoatin'ny lahatsoratra mikoriana, toy ny teny filamatra na hafatra fampandrenesana, ary apetraho ny fomba fanoratana, ny toromarika sy ny hafainganam-pandehany.

⬤Hatramin'ny preset 2,000

Effet fade sy fifandimbiasan'ny seamless tohanana, latsaky ny 60ms ny faharetan'ny famadihana preset

⬤Famerenana voalahatra ny playlist efa nomanina

Mametraha raha ampidirina ao anaty playlist ny preset, izay mety tsara amin'ny fanaraha-maso, fampirantiana, famelabelarana ary fampiharana hafa.

⬤Fikirana OSD amin'ny efijery tokana sy mangarahara OSD azo amboarina

⬤Fikirana BKG

Ny sary BKG dia tsy mibodo ny loharano sosona.

Ny max.ny sakany sy ny haavon'ny sary BKG dia mahatratra 15K sy 8K tsirairay avy.

⬤Fitantanana famantarana ny fantsona

Mametraha fango lahatsoratra na sary hamantarana ny loharano fampidirana.

⬤Ampidiro ny loharanon'ny famafazana sy ny fanovana anarana aorian'ny famafazana

Teteho izay sary loharano fidirana ary manaova loharano fampidirana vaovao aorian'ny fametahana.

⬤HDR sy 10-bit fanodinana horonan-tsary, mamela sary mahafinaritra sy mazava kokoa

⬤Fanitsiana loko

Ny loko connector Output sy ny lokon'ny efijery azo amboarina, ao anatin'izany ny famirapiratana, ny fifanoherana, ny saturation, ny hue ary ny Gamma

⬤XR scenario fanaraha-maso

⬤Fasa 3D

Miaraha miasa amin'ny NovaStar's 3D emitter - EMT200 hankafy ny 3D visual effect.

⬤Latency ambany

Ampihena ho ambany amin'ny frame 1 ny fahatarana avy amin'ny loharano fidirana mankany amin'ny karatra fandraisana.

Ny fanaraha-maso ny pejy web, mora, sariaka ary mety

⬤Fifehezana tranonkala

Valiny amin'ny fotoana tena izy ary 1000M/100M ny fanaraha-maso ny tambazotran'ny tena manokana, mamela ny fiaraha-miasa amin'ny mpampiasa maro.

⬤ Fanaraha-maso ny fidirana sy ny vokatra ao amin'ny pejin-tranonkala

⬤Fanavaozana firmware amin'ny pejin-tranonkala

⬤Ark Visualized Management and Control Platform App fanaraha-maso amin'ny fitaovana pad

Fanaraha-maso ny sata mba hisian'ny fitoniana sy fahatokisana tsara kokoa

⬤Fitsapana tena mba hahitana lesoka

⬤Auto fanaraha-maso sy fanairana

Manohana ny fanaraha-maso ny fitaovana, toy ny hafainganam-pandehan'ny fihodinan'ny mpankafy, ny mari-pana sy ny voltase Module, ny sata mihazakazaka, ary mandefa fanairana diso raha ilaina.

⬤Famolavolana backup

− Backup eo anelanelan'ny fitaovana

− Backup eo anelanelan'ny karatra fandefasana LED 4K

Bika Aman 'endrika

Front Panel

dfs48

* Ny sary aseho dia natao ho fanoharana fotsiny.Ny vokatra tena izy dia mety miovaova noho ny fanatsarana ny vokatra.

Ity vokatra ity dia azo apetraka mitsivalana ihany.Aza apetraka mitsangana na mivadika.

Ny vokatra dia azo apetraka ao amin'ny fitoeran'entana 19-inch mahazatra afaka mahazaka farafahakeliny in-efatra ny lanjan'ny fitaovana napetraka.Visy M5 efatra no tokony hampiasaina hanamboarana ny vokatra.

Anarana Description
Ecran LCD Mampiseho ny satan'ny fitaovana sy ny fampahalalana momba ny fanaraha-maso.

Rear Panel

wqe49

Ny sary aseho dia natao fanoharana fotsiny.Ny vokatra tena izy dia mety miovaova noho ny fanatsarana ny vokatra.

Ny silkscreen misy marika "Ix" na "I/x" dia manondro ny slot natokana ho an'ny karatra fampidirana.Ny "I" dia midika hoe fampidirana ary ny "x" dia manondro ny laharana slot.Ohatra, ny "I-1" dia manondro fa ity slot ity no slot voalohany fampidirana ary ho an'ny fametrahana karatra fampidirana ihany.

Ny efijery silk misy marika "Ox" na "O/x" dia manondro fa natokana ho an'ny karatra famoahana ny slot."O" dia midika hoe vokatra ary "x" dia manondro ny laharana slot.Ohatra, ny "O-10" dia manondro fa ity slot ity dia ny laharana faha-10 ary ny fametrahana karatra famoahana ihany.

Ny silkscreen misy marika "" dia manondro fa ny slot dia afaka manaiky karatra fampidirana na karatra preview.

Karatra fampidirana

H_4xDVI karatra fampidirana  图片50 Fanohanana ny rohy tokana sy ny fomba fampidirana rohy roa, ary loharano fampidirana 10-bit HDCP 1.4 mifanarakaTsy manohana fampidirana famantarana mifamatotra.l fomba fifandraisana tokana:− Ampifandraisina DVI efatra avokoa no ampiasaina amin'ny fampidirana.

 

  − Ny mpampitohy tsirairay dia manohana ny famaha ambony indrindra 2048×1152@60Hz ary ny famaha kely indrindra 800×600@60Hz.− Fanapahan-kevitra manokana:Max.sakany: 2560 teboka (2560×972@60Hz) Max.haavony: 2560 teboka (884×2560@60Hz)l fomba roa rohy:− Ny mpampitohy 2 sy 4 dia ampiasaina amin'ny fampidirana, ary ny mpampitohy 1 sy 3 dia tsy misy.− Ny mpampitohy tsirairay dia manohana ny famaha ambony indrindra 3840×1080@60Hz ary ny famaha kely indrindra 800×600@60Hz.− Fanapahan-kevitra manokana:Max.sakany: 3840 teboka (3840×1124@60Hz) Max.Haavo: 4095 teboka (1014×4095@60Hz)Sata LED:l On: Ny loharano fidirana dia idirana ara-dalàna.l Off: Tsy misy loharano miditra na tsy mety ny loharano fidirana.
H_4xHDMI karatra fampidirana  图片51 Fanohanana loharano fampidirana 10-bitTsy manohana fampidirana famantarana mifamatotra. Ho an'ny fampidirana HDMI 1.3:l Ny connecteurs efatra dia ampiasaina amin'ny fampidirana.l Ny connecteur tsirairay dia manohana ny famaha ambony indrindra amin'ny 2048 × 1152@60Hz, ary ny farany ambany indrindra amin'ny 800 × 600@60Hz.l fanapahan-kevitra manokana:Max.sakany: 2560 teboka (2560×972@60Hz) Max.haavony: 2560 teboka (884×2560@60Hz)l HDCP 1.4 mifanaraka Ho an'ny fampidirana HDMI 1.4:l Mpampitohy HDMI 1.4 roa no ampiasaina amin'ny fampidirana, fa tsy misy mpampitohy HDMI 1.3 roa.l Ny mpampitohy tsirairay dia manohana ny fanapahan-kevitra ambony indrindra amin'ny 3840 × 1080@60Hz.

l fanapahan-kevitra manokana:

Max.sakany: 3840 teboka (3840×1124@60Hz) Max.Haavo: 4095 teboka (1014×4095@60Hz)

l HDCP 1.4 mifanaraka

 

Sata LED:

l On: Ny loharano fidirana dia idirana ara-dalàna.

l Off: Tsy misy loharano miditra na tsy mety ny loharano fidirana.

H_1xHDMI2.0+1xDP1.2karatra fampidirana   图片52Ny mpampitohy iray ihany no azo ampiasaina isaky ny mandeha.Avelao hampiasa izay mpampitohy amin'ny pejin-tranonkala.Ny safidy default dia ny connector HDMI 2.0.Tsy manohana fampidirana famantarana mifamatotra.

 

  l 1x HDMI 2.0− Mifanaraka amin'ny HDMI 1.4 sy HDMI 1.3− Manohana ny famaha ambony indrindra 3840×2160@60Hz.− mifanaraka amin'ny HDCP 2.2− Fanapahan-kevitra manokana:Max.sakany: 4092 teboka (4092×2261@60Hz) Max.Haavo: 4095 teboka (2188×4095@60Hz)l 1x DP 1.2− Mifanaraka amin'ny DP 1.1− Manohana ny famaha ambony indrindra 4096×2160@60Hz na 8192×1080@60Hz.− mifanaraka amin'ny HDCP 2.2− Fanapahan-kevitra manokana:Max.sakany: 8192 teboka (8192×1146@60Hz) Max.Haavo: 4095 teboka (2188×4095@60Hz)Sata LED:l On: Ny loharano fidirana dia idirana ara-dalàna.l Off: Tsy misy loharano miditra na tsy mety ny loharano fidirana.
H_2xRJ45 IP karatra fampidirana  图片53 2x RJ45 Gigabit Ethernet seranan-tsambo Fanohanana ny interlaced famantarana famantaranal protocols tohanana: RTSP, GB28181 ary ONVIFl Tohanana coding endrika: H.264 sy H.265L karatra tokana decoding fahaiza-manao:− 4x 3840×2160@30fps− 16x 1920×1080@30fpsl DHCP mifanaraka
H_4x3G SDI karatra fampidirana   图片544x 3G-SDIl Mihemotra mifanaraka amin'ny HD-SDI sy SD-SDIl Manohana ST-424 (3G), ST-292 (HD) ary SMPTE 259 SD.l Ny connecteur tsirairay dia manohana ny fanapahan-kevitra ambony indrindra amin'ny 1920 × 1080@60Hz.l manohana 1080i/576i/480i de-interlacing fanodinana.Sata LED:l On: Ny loharano fidirana dia idirana ara-dalàna.l Off: Tsy misy loharano miditra na tsy mety ny loharano fidirana.

 

H_2xCVBS+2xVGAkaratra fampidirana   图片552x VGAl Ny connecteur tsirairay dia manohana ny fanapahan-kevitra ambony indrindra amin'ny 1920 × 1200@60Hz.2x cvbsl Manohana PAL sy NTSC.Sata LED:l On: Ny loharano fidirana dia idirana ara-dalàna.l Off: Tsy misy loharano miditra na tsy mety ny loharano fidirana.
H_4xVGA karatra fampidirana  图片56 4x vga nyl Ny connecteur tsirairay dia manohana ny fanapahan-kevitra ambony indrindra amin'ny 1920 × 1200@60Hz.Sata LED:l On: Ny loharano fidirana dia idirana ara-dalàna.l Off: Tsy misy loharano miditra na tsy mety ny loharano fidirana.
H_2xDP1.1 karatra fampidirana   图片572x DP1.1l Ny connecteur tsirairay dia manohana ny famaha ambony indrindra amin'ny 3840 × 1080@60Hz na 3840 × 2160@30Hz.l fanapahan-kevitra manokana:− Max.sakany: 3840 teboka (3840×1124@60Hz)− Max.Haavo: 4095 teboka (1014×4095@60Hz)l Manohana 8-bit sy 10-bit inputs.l Tsy manohana interlaced famantarana fampidirana.l LED sata mifanaraka amin'ny HDCP 1.3:l On: Ny loharano fidirana dia idirana ara-dalàna.l Off: Tsy misy loharano miditra na tsy mety ny loharano fidirana.
H_1xDP1.2 karatra fampidirana   sa581x DP 1.2l Mifanaraka amin'ny DP 1.1l Ny connecteur tsirairay dia manohana ny fanapahan-kevitra ambony indrindra amin'ny 4096 × 2160@60Hz na 8192 × 1080@60Hz.l fanapahan-kevitra manokana:− Max.sakany: 8192 teboka (8192×1146@60Hz)− Max.Haavo: 4095 teboka (2188×4095@60Hz)l LED sata mifanaraka amin'ny HDCP 2.2:l On: Ny loharano fidirana dia idirana ara-dalàna.l Off: Tsy misy loharano miditra na tsy mety ny loharano fidirana.

 

H_STD I/O karatra  图片59 l 2x COMProgrammable RS422/RS485/RS232 seranana izay ampiasaina hifehy ny fitaovana izay mampiasa RS422/RS485/RS232 protocol− COM port pins dia aseho eto ambany:  − Ny wirings Pin dia aseho eto ambany:  l 1x ETHERNET− Mifehy ny fitaovana mifandray amin'ity karatra ity.− 10/100Mbps mampifanaraka-tena− TCP/IP protocol sy UDP/IP protocol tohananl 3x I/O

− Mandrisika ny fanatanterahana ny fepetra takiana amin'ny alalan'ny fandaharana.

− Fomba fampidirana sy fivoahana tohana

− Pins 1, 2 ary 3 dia azo apetraka na amin'ny fidirana na fivoahana, ary ny pin G dia ny tsimatra iraisana ho an'ny tsimatra 1, 2 ary 3.

l 3x relay

− Ampifandraiso amin'ny fampitaovana mba hifehezana ny herinaratra mandeha sy miala amin'ny fitaovana mifandray.

− Volontany: 30 VDC, ankehitriny: 3A fara-tampony

− Pins enina no mizara ho vondrona telo, izay azo ampifandraisina na tapaka amin’ny alalan’ny fandaharana.

l 3x IR OUT

− Fanohanana ny fanaraha-maso infrarouge azo zahana

− Pins 1, 2 ary 3 dia ampiasaina amin'ny famoahana infrarouge, ary ny pin G dia ny tsimatra mahazatra ho an'ny tsimatra 1, 2 ary 3.

H_1x12G SDI karatra fampidirana   图片601x 12G-SDI IN− Mifanaraka amin'ny 6G-SDI, 3G-SDI, HD-SDI ary SD-SDI− Manohana ST-2082-1 ​​(12G), ST-2081-1 (6G), ST-424 (3G), ST-292 (HD) ary SMPTE 259 SD.− Ny mpampitohy tsirairay dia manohana ny famaha ambony indrindra 4096×2160@60Hz.− Manohana ny fanodinana 1080i/576i/480i de-interlacing.− Tsy manohana ny famahana ny fandraisan'anjara sy ny fandrindrana ny halalin'ny bitika.l 1x 12G-SDI LOOPAtsaharo ny famantarana 12G-SDI.l LED status:− Mandeha: Ampifandraisina amin'ny fomba mahazatra ny fidirana na ny fivoahana.

 

  − Off: Tsy misy fampidiran-dresaka na fivoahana mifamatotra na tsy ara-dalàna ny fidirany na ny fivoahana.
H_1xHDMI2.0 karatra fampidirana   1x HDMI 2.0l Mihemotra mifanaraka amin'ny HDMI 1.4 sy HDMI 1.3l Ny connecteur tsirairay dia manohana ny famaha ambony indrindra amin'ny 3840 × 2160@60Hz.l HDCP 2.2 mifanarakal fanapahan-kevitra manokana:− Max.sakany: 4092 teboka (4092×2261@60Hz)− Max.Haavo: 4095 teboka (2188×4095@60Hz)l LED status:− On: Ny loharano fampidirana dia azo idirana ara-dalàna.− Mandeha: Tsy misy loharano azo idirana na tsy ara-dalàna ny loharano fampidirana.
Karatra vokatra
H_16xRJ45+2xfiber mandefa karatra   图片61Ny karatra fandefasana LED 4K dia afaka mitondra hatramin'ny 10.400.000 teboka (sakany ambony indrindra: 10.240 teboka, avo indrindra: 10.240 teboka).Ity karatra ity dia manana slot roa.l 16x RJ45 Gigabit Ethernet outputs− Halalin'ny bitika: 8-bitNy seranan-tsambo Ethernet tokana dia mitondra hatramin'ny 650,000 piksel.− Halalin'ny bitika: 10-bitNy seranan-tsambo Ethernet tokana dia mitondra hatramin'ny 320,000 piksel.− Backup eo anelanelan'ny seranan-tsambo Ethernetl 2x OPT vokatra− Manohana ny fandefasana SMF sy MMF.− OPT 1 dia mandika sy mamoaka ny angona amin'ny seranana Ethernet 1–8.− OPT 2 dika mitovy ary mamoaka ny angona amin'ny seranana Ethernet 9–16.

Fanamarihana:  Ho an'ny maodely optika mifandray amin'ny seranan-tsambo OPT dia mila manafatra na mividy misaraka ianao.

H_20xRJ45mandefa karatra  图片62 Ny karatra fandefasana LED 4K dia afaka mitondra hatramin'ny 13.000.000 teboka (sakany ambony indrindra: 10.752 teboka, avo indrindra: 10.752 teboka).Ity karatra ity dia manana slot roa.l 20x RJ45 Gigabit Ethernet outputs− Halalin'ny bitika: 8-bit

 

  Ny seranan-tsambo Ethernet tokana dia mitondra hatramin'ny 650,000 piksel.− Halalin'ny bitika: 10-bitNy seranan-tsambo Ethernet tokana dia mitondra hatramin'ny 320,000 piksel.l Backup eo anelanelan'ny seranana Ethernet
H_2xRJ45+1xHDMI1.3karatra mialoha   l 2x RJ45 Gigabit Ethernet outputsMifandraisa amin'ny tambajotra mba hanaraha-maso ny fidirana sy ny vokatra.l 1x HDMI 1.3Ampifandraiso amin'ny monitor iray hanehoana ny fampahalalana momba ny fanaraha-maso.
H_Control Card
  图片63
GENLOCK Manohana ny bi-level sy tri-level.l IN: Ekeo ny famantarana Genlockl LOOP: Avereno ny famantarana Genlock.
ETHERNET Port Gigabit Ethernetl Mifandray amin'ny PC fanaraha-maso ho an'ny fifandraisana.l Mifandray amin'ny router, switch na PC.l Ho an'ny fanaraha-maso ny tranokala sy ny fanovana efijery NovaLCT
USB 1 sy USB 2 2x USB 2.0l Havaozy ny programa momba ny fitaovana.l Manafatra na manondrana ny mari-pamantarana fanamafisana ny fitaovana.Fanamarihana:Ny mpampitohy USB dia tsy afaka manome hery ho an'ireo fitaovana mifandray.
fr Serial seranan-tsambo izay mampiasa RS232 serial protocol Fanohanana ny rafitra fanaraha-maso foibel IN: Ekeo ny famantarana avy amin'ny rafitra fanaraha-maso foibe.l OUT: Avereno ny famantarana.Fanamarihana:Ny seranan-tsambo COM dia tsy azo ampifandraisina amin'ny tambajotra (router na switch) na kabinetra LED (karatra fandraisana).
Hery switch l/ ON: Famelomana ny fitaovana.lO / NIALA: Vonoy ny fitaovana.

Applications

图片64

lafiny

图片65

Fandeferana: ± 0.3 Unit: mm

fepetra arahana

MODELY H2
Rack Unit 2U
Max.Karatra fampidirana 4
Max.Input Channels 16
Max.Karatra vokatra 2
Max.Loading Capacity

 

(Karatra fandefasana LED 4K)

 

26 tapitrisa pixel

Max.sosona 32
 

 

Famaritana elektrika

mpampitohy herinaratra  

100–240V~, 50/60Hz, 10A–5A

Fanjifana herinaratra  

210 W

Tontolo iainana miasa hafanana 0°C hatramin’ny 45°C
Hamandoana 0% RH hatramin'ny 80% RH, tsy misy condensing
Tontolo iainana fitahirizana hafanana –10°C hatramin’ny +60°C
Hamandoana 0% RH hatramin'ny 95% RH, tsy misy condensing
 

Famaritana ara-batana

lafiny 482,6 mm × 88,1 mm × 455 mm
Lanja afa-karatsaka 15,6 kg
Lanja tsy afa-karatsaka 18 kg
 

 

 

 

 

Fonosana Information

Fonosana boaty 660 mm × 570 mm × 210 mm
 

 

 

 

 

Accessories

1x tariby herinaratra

1x RJ45 Ethernet tariby 1x Grounding cable

1x HDMI cable

1x Torolàlana fanombohana haingana

1x Taratasy fankatoavana 1x Boky Torolàlana momba ny fiarovana

1x Taratasy manokana

 

Endri-javatra loharanon-tsary

Input Connector Lalin'ny loko Max.Input Resolution
HDMI 2.0 8-bit RGB 4:4:4 4096×2160@60Hz

8192×1080@60Hz

YCbCr 4:4:4
YCbCr 4:2:2
YCbCr 4:2:0 4096×2160@60Hz
10-bit RGB 4:4:4 4096×2160@30Hz

4096×1080@60Hz

YCbCr 4:4:4
YCbCr 4:2:2 4096×2160@60Hz
YCbCr 4:2:0
12-bit RGB 4:4:4 4096×2160@30Hz

4096×1080@60Hz

YCbCr 4:4:4
YCbCr 4:2:2 4096×2160@60Hz
YCbCr 4:2:0
DP 1.2 8-bit RGB 4:4:4 4096×2160@60Hz

8192×1080@60Hz

YCbCr 4:4:4
YCbCr 4:2:2
YCbCr 4:2:0 Tsy zaka
10-bit RGB 4:4:4 4096×2160@30Hz

4096×1080@60Hz

YCbCr 4:4:4
YCbCr 4:2:2 4096×2160@60Hz
YCbCr 4:2:0 Tsy zaka
12-bit RGB 4:4:4 4096×2160@30Hz

4096×1080@60Hz

YCbCr 4:4:4
YCbCr 4:2:2 4096×2160@60Hz
YCbCr 4:2:0 Tsy zaka
HDMI 1.4

DP 1.1

8-bit RGB 4:4:4 4096×1080@60Hz
YCbCr 4:4:4
YCbCr 4:2:2
YCbCr 4:2:0 Tsy zaka
10-bit RGB 4:4:4 2048×1152@60Hz

 

Input Connector Lalin'ny loko Max.Input Resolution
    YCbCr 4:4:4  
YCbCr 4:2:2 4096×1080@60Hz
YCbCr 4:2:0 Tsy zaka
12-bit RGB 4:4:4 2048×1152@60Hz
YCbCr 4:4:4
YCbCr 4:2:2 4096×1080@60Hz
YCbCr 4:2:0 Tsy zaka
HDMI 1.3 8-bit RGB 4:4:4 2048×1152@60Hz
YCbCr 4:4:4
YCbCr 4:2:2
YCbCr 4:2:0 Tsy zaka
10-bit RGB 4:4:4 2048×1152@60Hz
YCbCr 4:4:4
YCbCr 4:2:2
YCbCr 4:2:0 Tsy zaka
12-bit RGB 4:4:4 2048×1152@60Hz
YCbCr 4:4:4
YCbCr 4:2:2
YCbCr 4:2:0 Tsy zaka
SL-DVI 8-bit RGB 4:4:4 2048×1152@60Hz
DL-DVI 8-bit RGB 4:4:4 3840×1080@60Hz
VGA CVBS - RGB 4:4:4 1920×1080@60Hz
3G-SDI l Manohana hatramin'ny 1920 × 1080 @ 60Hz fampidirana horonan-tsary.

l Ny famahana ny famahana ny fidirana sy ny fandrindrana ny halalin'ny bitika dia tsy azo atao.

l Manohana ST-424 (3G) sy ST-292 (HD).

12G-SDI l manohana hatramin'ny 4096 × 2160 @ 60Hz fampidirana horonan-tsary.

l Ny famahana ny famahana ny fidirana sy ny fandrindrana ny halalin'ny bitika dia tsy azo atao.

l Manohana ST-2082-1 ​​(12G), ST-2081-1 (6G), ST-424 (3G) ary ST-292 (HD).

 


  • teo aloha:
  • Manaraka: